Direct bonding
Encyclopedia
Direct bonding describes a wafer bonding
Wafer bonding
Wafer bonding is a packaging technology on wafer-level for the fabrication of microelectromechanical systems , nanoelectromechanical systems , microelectronics and optoelectronics, ensuring a mechanically stable and hermetically sealed encapsulation...

 process without any additional intermediate layers. The bonding process is based on chemical bonds between two surfaces of any material possible meeting numerous requirements.
These requirements are specified for the wafer surface as sufficiently clean, flat and smooth. Otherwise unbonded areas so called voids, i.e. interface bubbles, can occur.

The procedural steps of the direct bonding process of wafers any surface is divided into
  1. wafer preprocessing,
  2. pre-bonding at room temperature and
  3. annealing at elevated temperatures.

Even though direct bonding as a wafer bonding technique is able to process nearly all materials, silicon is the most established material up to now. Therefore, the bonding process is also referred to as silicon direct bonding or silicon fusion bonding. The fields of application for silicon direct bonding are, e.g. manufacturing of Silicon on insulator (SOI) wafers, sensors and actuators.
Overview =
The silicon direct bonding is based on intermolecular interactions including van der Waals forces, hydrogen bonds and strong covalent bonds.
The initial procedure of direct bonding was characterized by a high process temperature. Caused by several factors, i.e. increasing number of utilized materials with different coefficients of thermal expansion, the demand on lowering the process temperature for direct bonding has occurred. Hence, the aim consists in achieving a stable and hermetic direct bond at a temperature below 450 °C. Therefore processes for wafer surface activation, i.e. plasma treatment or chemical-mechanical polishing (CMP), are taken into consideration and are being researched. The upper limit of 450 °C bases on the limitations of back-end CMOS processing and the beginning of interactions between the applied materials.
History =
The adhering effect of smooth and polished solid surfaces is first mentioned by Desaguliers
John Theophilus Desaguliers
John Theophilus Desaguliers was a natural philosopher born in France. He was a member of the Royal Society of London beginning 29 July 1714. He was presented with the Royal Society's highest honour, the Copley Medal, in 1734, 1736 and 1741, with the 1741 award being for his discovery of the...

 (1734). His discovery was based on the friction between two surfaces of solids. The better the surfaces are polished the lower the friction is between those solids. This statement he described is only valid until a specific point. From this point on the friction starts to raise and the surfaces of the solids start to adhere together.
First reports of successful silicon direct bonding were published 1986 among others by J. B. Lasky.
Conventional direct bonding = > >


Direct bonding is mostly referred to as bonding with silicon. Therefore process techniques are divided in accordance with the chemical structure of the surface in hydrophilic (compare to scheme of a hydrophilic silicon surface) or hydrophobic (compare to scheme of a hydrophobic silicon surface).

The surface state of a silicon wafer can be measured by the contact angle a drop of water forms. In the case of a hydrophilic surface the angle is small (< 5 °) based on the excellent wettability whereas a hydrophobic surface shows a contact angle larger than 90 °.

Wafer preprocessing

Before bonding two wafers, those two solids need to be free of impurities that can base on particle, organic and/or ionic contamination. To achieve the cleanliness without degrading the surface quality, the wafer passes a dry cleaning, e.g. plasma treatments or UV/ozone cleaning, or a wet chemical cleaning procedure.
The utilization of chemical solutions combines sequential steps. An established industrial standard procedure is SC (Standard Clean) purification by RCA. It consists of two solutions
  • SC1 (NH4 OH (29 %) + H2O2 (30 %) + Deionized-H2O [1:1:5]) and
  • SC2 (HCl (37 %) + H2O2 (30 %) + Deionized H2O [1:1:6]).

SC1 is used for removing organic contaminations and particles at a temperature of 70 °C to 80 °C for 5 to 10 min and SC2 is used for removing metal ions at 80 °C for 10 min.
Subsequently, the wafers are rinsed with or stored in deionized water. The actual procedure needs to be adapted to every application and device because of usually existing interconnects and metallization systems on the wafer.

Pre-bonding at room temperature

Before contacting the wafers, those have to be aligned. If the surfaces are sufficiently smooth, the wafers start to bond as soon as they get in atomic contact as shown in infrared photograph of a bond wave.

The wafers are covered with water molecules so the bonding happens between chemisorbed water molecules on the opposing wafer surfaces. In consequence a significant fraction of Si-OH (silanol) groups start to polymerize at room temperature forming Si-O-Si and water and a sufficient bonding strength for handling the wafer stack is assured. The formed water molecules will migrate or diffuse along the interface during annealing.





After the pre-bonding in air, in a special gaseous atmosphere or vacuum, the wafers have to pass an annealing process for increasing the bonding strength. The annealing therefore provides a certain amount of thermal energy which forces more silanol groups to react among each other and new, highly stable chemical bindings are formed. The kind of binding which forms directly depends on the amount of energy which has been delivered or the applied temperature respectively. In consequence the bonding strength rises with increasing annealing temperatures.

Annealing at elevated temperatures

Between room temperature and 110 °C the interface energy remains low, water molecules diffuse at the bond interface, leading to a rearrangement, causing more hydrogen-bonds. At temperatures from 110 °C to 150 °C silanol groups polymerize to siloxane and water, but also a slow fracture takes place. This reaction equates a thermo dynamical equilibrium and a higher density of silanol groups results in a higher number of siloxane and an increasing bond strength.



No further processes are observed at the interface between 150 °C and 800 °C until all OH-groups are polymerized and the composite strength remains constant.

Above 800 °C native oxide gets viscous and starts to flow at the interface what increases the area of contacted surfaces. So, the diffusion of trapped hydrogen molecules along the interface is enhanced and interface voids may reduce in size or disappear at all. The annealing process is finished by the cooling of the wafer stack.
The interface energy increases to more than 2  at 800 °C with a native oxide layer or at 1000 °C if the wafers are covered by thermal oxide (compare diagram of surface energy). In case one wafer contains a layer of thermal oxide and the other wafer is covered by a native oxide, the surface energy development is similar to a wafer pair both covered with a native oxide layer.

Wafer preprocessing

A hydrophobic surface is generated if the native oxide layer is removed by either plasma treatment or by fluoride containing etching solutions, e.g. hydrogen fluoride (HF) or ammonium fluoride (NH4F). This process enhances the formation of Si-F bonds of the exposed silicon atoms. For hydrophobic bonding it is important to avoid re-hydrophilization, e.g. by rinsing and spin-drying, since Si-F bonds contacted with water result in Si-OH.

Pre-bonding at room temperature

Prior to bonding the surface is covered with hydrogen and fluorine atoms. The bonding at room temperature is mostly based on van-der-Waals forces between those hydrogen and fluorine atoms. Compared to bonding with hydrophilic surfaces, the interface energy is lower directly after contacting. This fact builds up the need for a higher surface quality and cleanliness to prevent unbonded areas and thereby to achieve a full-surface contact between the wafers (compare infrared photograph of a bond wave). Similar to bonding of hydrophilic surfaces, the pre-bond is followed by an annealing process.

Annealing at elevated temperatures

From room temperature to 150 °C no important interface reactions occur and the surface energy is stable. Between 150 °C and 300 °C more Si-F-H-Si bonds are formed. Above 300 °C the desorption of hydrogen and fluoride from the wafer surface leads to redundant hydrogen atoms that diffuse in the silicon crystal lattice or along interface. As a result, covalent Si-Si bonds start to establish between opposing surfaces. At 700 °C the transition to Si-Si bonds is completed.
The bonding energy reaches cohesive strengths of bulk silicon (compare diagram of surface energy).
Low temperature direct bonding =
Even though direct bonding is highly flexible in processing numerous materials, the mismatch of CTE using different materials is a substantial restriction for wafer level bonding, especially the high annealing temperatures of direct bonding.

The focus in research is put on hydrophilic silicon surfaces. The increase of the bonding energy is based on the conversion of silanol- (Si-OH) into siloxane-groups (Si-O-Si). The diffusion of water is mentioned as limiting factor because water has to be removed from the interface before close contact of surfaces is established. The difficulty is that water molecules may react with already formed siloxane-groups (Si-O-Si), so the overall energy of adhesion gets weaker.

Lower temperatures are important for bonding pre-processed wafers or compound materials to avoid undesirable changes or decomposition. The reduction of the required annealing temperature can be achieved by different pretreatments such as:
  • plasma activated bonding
  • ultra high vacuum (UHV)
  • surface activation by chemical-mechanical polishing (CMP)
  • surface treatment to achieve chemical activation in:
    • hydrolyzed tetraalkoxysilanes Si(OR)4
    • hydrolyzed tetramethoxysilane Si(OCH3)4
    • nitride acid HNO3


Furthermore, research has shown that a lower annealing temperature for hydrophobic surfaces is possible with wafer pre-treatment based on:
  • As+ implantation
  • B2H6 or Ar plasma treatment
  • Si sputter deposition

Examples =
This technique is usable for the fabrication of multi wafer micro structures, i.e. accelerometers, micro valves and micro pumps.
Technical specifications =
Materials
  • Si
  • SiO2
  • Glass-substrate
  • Lithium-tantalate (LiTaO3)
  • stainless steel
Temperature
  • Conventional: < 1200 °C
  • Low temperature: 200 - 400 °C
  • Advantages
  • high bonding strength
  • high temperature stability
  • process compatibility to semiconductor technology
  • bonding in vacuum or different atmospheric gases
  • Drawbacks
  • high standards in surface geometry
  • high standards in roughness
  • Research
  • hybrid bonding (metal bonds and SFB simultaneously)
  • bonding at T < 200 °C
  • completely dry process including pre-conditioning

  • See also =
    • Wafer bonding
      Wafer bonding
      Wafer bonding is a packaging technology on wafer-level for the fabrication of microelectromechanical systems , nanoelectromechanical systems , microelectronics and optoelectronics, ensuring a mechanically stable and hermetically sealed encapsulation...

    • Plasma activated bonding
      Plasma activated bonding
      Plasma activated bonding is a derivative, directed to lower processing temperatures for direct bonding with hydrophilic surfaces. The main requirements for lowering temperatures of direct bonding are the use of materials melting at low temperatures and with different coefficients of thermal...

    • Anodic bonding
      Anodic bonding
      Anodic bonding is a wafer bonding procedure without any intermediate layer. This bonding technique, also known as field assisted bonding or electrostatic sealing, is mostly used for connecting silicon/glass and metal/glass through electric fields...

    • Eutectic bonding
      Eutectic bonding
      Eutectic bonding, also referred to as eutectic soldering, describes a wafer bonding technique with an intermediate metal layer. Those eutectic metals are alloys that transform directly from solid to liquid state at a specific composition and temperature without passing a two phase equilibrium, i.e...

    • Glass frit bonding
      Glass frit bonding
      Glass frit bonding, also referred to as glass soldering or seal glass bonding, describes a wafer bonding technique with an intermediate glass layer. It is a widely used encapsulation technology for surface micro-machined structures, i.e. accelerometers or gyroscopes. This technique utilizes low...

    • Adhesive bonding
      Adhesive bonding
      Adhesive bonding describes a wafer bonding technique with applying an intermediate layer to connect substrates of different materials. These produced connections can be soluble or insoluble. The commercially available adhesive can be organic or inorganic and is deposited on one or both substrate...

    • Thermocompression bonding
      Thermocompression bonding
      Thermocompression bonding describes a wafer bonding technique and is also referred to as diffusion bonding, pressure joining, thermocompression welding or solid-state welding. Two metals, e.g. gold -gold , are brought into atomic contact applying force and heat simultaneously. The diffusion...

    • Reactive bonding
      Reactive bonding
      Reactive bonding describes a wafer bonding procedure using highly reactive nanoscale multilayer systems as an intermediate layer between the bonding substrates. The multilayer system consists of two alternating different thin metallic films. The self-propagating exothermic reaction within the...

    • Measurement and characterization for wafer level packaging technologies
      Bond characterization
      The wafer bond characterization is based on different methods and tests. Considered a high importance of the wafer are the successful bonded wafers without flaws. Those flaws can be caused by void formation in the interface due to unevenness or impurities...


    External links =
    The source of this article is wikipedia, the free encyclopedia.  The text of this article is licensed under the GFDL.
     
    x
    OK