Design flow (EDA)
Encyclopedia
Design flows are the explicit combination of electronic design automation
Electronic design automation
Electronic design automation is a category of software tools for designing electronic systems such as printed circuit boards and integrated circuits...

 tools to accomplish the design of an integrated circuit
Integrated circuit
An integrated circuit or monolithic integrated circuit is an electronic circuit manufactured by the patterned diffusion of trace elements into the surface of a thin substrate of semiconductor material...

. Moore's law
Moore's Law
Moore's law describes a long-term trend in the history of computing hardware: the number of transistors that can be placed inexpensively on an integrated circuit doubles approximately every two years....

 has driven the entire IC implementation RTL
Register transfer level
In integrated circuit design, register-transfer level is a level of abstraction used in describing the operation of a synchronous digital circuit...

 to GDSII
GDSII
GDSII stream format, common acronym GDSII, is a database file format which is the de facto industry standard for data exchange of integrated circuit or IC layout artwork. It is a binary file format representing planar geometric shapes, text labels, and other information about the layout in...

 design flows from one which uses primarily
standalone synthesis
Logic synthesis
In electronics, logic synthesis is a process by which an abstract form of desired circuit behavior, typically register transfer level , is turned into a design implementation in terms of logic gates. Common examples of this process include synthesis of HDLs, including VHDL and Verilog...

, placement
Placement (EDA)
Placement is an essential step in electronic design automation - the portion of the physical design flow that assigns exact locations for various circuitcomponents within the chip’s core area...

, and routing
Routing (EDA)
In electronic design, wire routing, commonly called simply routing, is a step in the design of printed circuit boards and integrated circuits . It builds on a preceding step, called placement, which determines the location of each active element of an IC or component on a PCB...

 algorithms to an integrated construction and analysis
flows for design closure
Design closure
Design closure is the process by which a VLSI design is modified from its initial description to meet a growing list of design constraints and objectives....

. The challenges of rising interconnect delay led to
a new way of thinking about and integrating design closure tools. New scaling challenges such as leakage power, variability, and reliability will keep on challenging the current state of the art in design closure.

The RTL to GDSII flow underwent significant changes from 1980 through 2005. The continued scaling of
CMOS technologies significantly changed the objectives of the various design steps. The lack of good
predictors for delay has led to significant changes in recent design flows. Challenges like leakage power,
variability, and reliability will continue to require significant changes to the design closure
Design closure
Design closure is the process by which a VLSI design is modified from its initial description to meet a growing list of design constraints and objectives....

 process in the
future. Many factors describe what drove the design flow from a set of separate design steps to
a fully integrated approach, and what further changes are coming to address the latest challenges.
In his keynote at the 40th Design Automation Conference
Design Automation Conference
The Design Automation Conference, or DAC, is a combination of a technical conference and a trade show, both specializing in electronic design automation....

 entitled The Tides of EDA, Alberto Sangiovanni-Vincentelli
Alberto Sangiovanni-Vincentelli
Alberto Sangiovanni-Vincentelli is an academic researcher, teacher, entrepreneur, technical advisor and business man. He is a co-founder of the two largest EDA companies: Cadence Design Systems and Synopsys, Inc....

 distinguished three periods of EDA:
  • The Age of Invention: During the invention era, routing
    Routing (EDA)
    In electronic design, wire routing, commonly called simply routing, is a step in the design of printed circuit boards and integrated circuits . It builds on a preceding step, called placement, which determines the location of each active element of an IC or component on a PCB...

    , placement
    Placement (EDA)
    Placement is an essential step in electronic design automation - the portion of the physical design flow that assigns exact locations for various circuitcomponents within the chip’s core area...

    , static timing analysis
    Static timing analysis
    Static Timing Analysis is a method of computing the expected timing of a digital circuit without requiring simulation.High-performance integrated circuits have traditionally been characterized by the clock frequency at which they operate...

     and logic synthesis
    Logic synthesis
    In electronics, logic synthesis is a process by which an abstract form of desired circuit behavior, typically register transfer level , is turned into a design implementation in terms of logic gates. Common examples of this process include synthesis of HDLs, including VHDL and Verilog...

     were invented.
  • The Age of Implementation: In the age of implementation, these steps were drastically improved by designing sophisticated data structures and advanced algorithms. This allowed the tools in each of these design steps to keep pace with the rapidly increasing design sizes. However, due to the lack of good predictive cost functions, it became impossible to execute a design flow by a set of discrete steps, no matter how efficiently each of the steps was implemented.
  • The Age of Integration: This led to the age of integration where most of the design steps are performed in an integrated environment, driven by a set of incremental cost analyzers.

See also

  • Placement (EDA)
    Placement (EDA)
    Placement is an essential step in electronic design automation - the portion of the physical design flow that assigns exact locations for various circuitcomponents within the chip’s core area...

    , placement is an essential step in electronic design automation
  • Post silicon validation
    Post silicon validation
    Post-silicon validation and debug is the last step in the development of a semiconductor integrated circuit. During the pre-silicon process, engineers test devices in a virtual environment with sophisticated simulation, emulation, and formal verification tools...

    , the final step in the EDA design flow
  • Power optimization (EDA)
    Power optimization (EDA)
    Power optimization is the use of electronic design automation tools to optimize the power consumption of a digital design, such as that of an integrated circuit, while preserving the functionality.-Introduction and history:...

    , power optimization refers to the use of electronic design automation tools to optimize (reduce) the power consumption of a digital design, while preserving the functionality
  • Routing (EDA)
    Routing (EDA)
    In electronic design, wire routing, commonly called simply routing, is a step in the design of printed circuit boards and integrated circuits . It builds on a preceding step, called placement, which determines the location of each active element of an IC or component on a PCB...

    , routing is a crucial step in the design of integrated circuits
The source of this article is wikipedia, the free encyclopedia.  The text of this article is licensed under the GFDL.
 
x
OK