Electronic design automation
Encyclopedia
Electronic design automation (EDA or ECAD) is a category of software tools for designing electronic systems such as printed circuit board
Printed circuit board
A printed circuit board, or PCB, is used to mechanically support and electrically connect electronic components using conductive pathways, tracks or signal traces etched from copper sheets laminated onto a non-conductive substrate. It is also referred to as printed wiring board or etched wiring...

s and integrated circuit
Integrated circuit
An integrated circuit or monolithic integrated circuit is an electronic circuit manufactured by the patterned diffusion of trace elements into the surface of a thin substrate of semiconductor material...

s. The tools work together in a design flow
Design flow (EDA)
Design flows are the explicit combination of electronic design automation tools to accomplish the design of an integrated circuit. Moore's law has driven the entire IC implementation RTL to GDSII design flows from one which uses primarily...

 that chip designers use to design and analyze entire semiconductor chips.

This article describes EDA specifically with respect to integrated circuits.

Early days

Before EDA, integrated circuits were designed by hand, and manually laid out. Some advanced shops used geometric software to generate the tapes for the Gerber
Gerber Scientific
Gerber Scientific Inc. , located in Tolland, Connecticut, is the parent of companies which provide end-to-end customer solutions to the world's sign making and specialty graphics, ophthalmic lens processing, and apparel and flexible materials industries. They also supply purpose-built software to...

 photoplotter
Photoplotter
A photoplotter is an electro-mechanical-optical machine that exposes a latent image on a media, usually high-contrast monochromatic photographic film, using a light source under computer control...

, but even those copied digital recordings of mechanically-drawn components. The process was fundamentally graphic, with the translation from electronics to graphics done manually. The best known company from this era was Calma
Calma
Calma Company, based in Sunnyvale, California, was, between 1965 and 1988, a vendor of digitizers and minicomputer-based graphics systems targeted at the cartographic and electronic, mechanical and architectural design markets....

, whose GDSII
GDSII
GDSII stream format, common acronym GDSII, is a database file format which is the de facto industry standard for data exchange of integrated circuit or IC layout artwork. It is a binary file format representing planar geometric shapes, text labels, and other information about the layout in...

 format survives.

By the mid-70s, developers started to automate the design, and not just the drafting. The first placement and routing (Place and route
Place and route
Place and route is a stage in the design of printed circuit boards, integrated circuits, and field-programmable gate arrays. As implied by the name, it is composed of two steps, placement and routing. The first step, placement, involves deciding where to place all electronic components, circuitry,...

) tools were developed. The proceedings of the Design Automation Conference
Design Automation Conference
The Design Automation Conference, or DAC, is a combination of a technical conference and a trade show, both specializing in electronic design automation....

 cover much of this era.

The next era began about the time of the publication of "Introduction to VLSI Systems" by Carver Mead
Carver Mead
Carver Andress Mead is a US computer scientist. He currently holds the position of Gordon and Betty Moore Professor Emeritus of Engineering and Applied Science at the California Institute of Technology , having taught there for over 40 years.Mead studied electrical engineering at Caltech, getting...

 and Lynn Conway
Lynn Conway
Lynn Conway is an American computer scientist, electrical engineer, inventor, trans woman, and activist for the transgender community....

 in 1980. This ground breaking text advocated chip design with programming languages that compiled to silicon. The immediate result was a considerable increase in the complexity of the chips that could be designed, with improved access to design verification
Functional verification
Functional verification, in electronic design automation, is the task of verifying that the logic design conforms to specification. In everyday terms, functional verification attempts to answer the question "Does this proposed design do what is intended?" This is a complex task, and takes the...

 tools that used logic simulation
Logic simulation
Logic simulation is the use of a computer program to simulate the operation of a digital circuit. Logic simulation is the primary tool used for verifying the logical correctness of a hardware design. In many cases logic simulation is the first activity performed in the process of taking a hardware...

. Often the chips were easier to lay out and more likely to function correctly, since their designs could be simulated more thoroughly prior to construction. Although the languages and tools have evolved, this general approach of specifying the desired behavior in a textual programming language and letting the tools derive the detailed physical design remains the basis of digital IC design today.

The earliest EDA tools were produced academically. One of the most famous was the "Berkeley VLSI Tools Tarball", a set of UNIX utilities used to design early VLSI systems. Still widely used is the Espresso heuristic logic minimizer
Espresso heuristic logic minimizer
The Espresso logic minimizer is a computer program using heuristic and specific algorithms for efficiently reducing the complexity of digital electronic gate circuits. Espresso was developed at IBM by Robert Brayton. Rudell later published the variant Espresso-MV in 1986 under the title...

 and Magic
Magic (software)
Magic is a Very-large-scale integration layout tool originally written by John Ousterhout and his graduate students at UC Berkeley during the 1980s. Magic continues to be popular because it is free , easy to use, and easy to expand for specialized tasks...

.

Another crucial development was the formation of MOSIS
MOSIS
MOSIS is probably the oldest integrated circuit foundry service and one of the first Internet services other than supercomputing services and basic infrastructure such as E-mail or FTP....

, a consortium of universities and fabricators that developed an inexpensive way to train student chip designers by producing real integrated circuits. The basic concept was to use reliable, low-cost, relatively low-technology IC processes, and pack a large number of projects per wafer, with just a few copies of each projects' chips. Cooperating fabricators either donated the processed wafers, or sold them at cost, seeing the program as helpful to their own long-term growth.

Birth of commercial EDA

1981 marks the beginning of EDA as an industry. For many years, the larger electronic companies, such as Hewlett Packard, Tektronix
Tektronix
Tektronix, Inc. is an American company best known for its test and measurement equipment such as oscilloscopes, logic analyzers, and video and mobile test protocol equipment. In November 2007, Tektronix became a subsidiary of Danaher Corporation....

, and Intel, had pursued EDA internally. In 1981, managers and developers spun out of these companies to concentrate on EDA as a business. Daisy Systems
Daisy Systems
Daisy Systems Corporation incorporated in 1981 in Mountain View, California, was a computer-aided engineering, CAE, company, a pioneer in the Electronic design automation industry....

, Mentor Graphics
Mentor Graphics
Mentor Graphics, Inc is a US-based multinational corporation dealing in electronic design automation for electrical engineering and electronics, as of 2004, ranked third in the EDA industry it helped create...

, and Valid Logic Systems
Valid Logic Systems
Valid Logic Systems was one of the first commercial EDA electronic design automation companies. It was founded in the early 1980s, along with Daisy Systems Corporation and Mentor Graphics, collectively known as DMV....

 were all founded around this time, and collectively referred to as DMV. Within a few years there were many companies specializing in EDA, each with a slightly different emphasis. The first trade show for EDA was held at the Design Automation Conference
Design Automation Conference
The Design Automation Conference, or DAC, is a combination of a technical conference and a trade show, both specializing in electronic design automation....

 in 1984.

In 1986, Verilog
Verilog
In the semiconductor and electronic design industry, Verilog is a hardware description language used to model electronic systems. Verilog HDL, not to be confused with VHDL , is most commonly used in the design, verification, and implementation of digital logic chips at the register-transfer level...

, a popular high-level design language, was first introduced as a hardware description language by Gateway Design Automation
Gateway Design Automation
"Verilog HDL originated at Automated Integrated Design Systems in 1985. The company was privately held at that time by Dr. Prabhu Goel, the inventor of the PODEM test generation algorithm. Verilog HDL was designed by Phil Moorby, who was later to become the Chief Designer for Verilog-XL and the...

. In 1987, the U.S. Department of Defense funded creation of VHDL as a specification language. Simulators quickly followed these introductions, permitting direct simulation of chip designs: executable specifications. In a few more years, back-ends were developed to perform logic synthesis.

Current status

Current digital flows are extremely modular (see Integrated circuit design
Integrated circuit design
Integrated circuit design, or IC design, is a subset of electrical engineering and computer engineering, encompassing the particular logic and circuit design techniques required to design integrated circuits, or ICs...

, Design closure
Design closure
Design closure is the process by which a VLSI design is modified from its initial description to meet a growing list of design constraints and objectives....

, and Design flow (EDA)
Design flow (EDA)
Design flows are the explicit combination of electronic design automation tools to accomplish the design of an integrated circuit. Moore's law has driven the entire IC implementation RTL to GDSII design flows from one which uses primarily...

). The front ends produce standardized design descriptions that compile into invocations of "cells,", without regard to the cell technology. Cells implement logic or other electronic functions using a particular integrated circuit technology. Fabricators generally provide libraries of components for their production processes, with simulation models that fit standard simulation tools. Analog EDA tools are far less modular, since many more functions are required, they interact more strongly, and the components are (in general) less ideal.

EDA for electronics has rapidly increased in importance with the continuous scaling of semiconductor
Semiconductor
A semiconductor is a material with electrical conductivity due to electron flow intermediate in magnitude between that of a conductor and an insulator. This means a conductivity roughly in the range of 103 to 10−8 siemens per centimeter...

 technology. Some users are foundry
Foundry (electronics)
In the microelectronics industry a semiconductor fabrication plant is a factory where devices such as integrated circuits are manufactured....

 operators, who operate the semiconductor fabrication
Semiconductor fabrication
Semiconductor device fabrication is the process used to create the integrated circuits that are present in everyday electrical and electronic devices. It is a multiple-step sequence of photolithographic and chemical processing steps during which electronic circuits are gradually created on a wafer...

 facilities, or "fabs", and design-service companies who use EDA software to evaluate an incoming design for manufacturing readiness. EDA tools are also used for programming design functionality into FPGAs.

Design

  • High-level synthesis
    High-level synthesis
    High-level synthesis , sometimes referred to as C synthesis, electronic system level synthesis, algorithmic synthesis, or behavioral synthesis, is an automated design process that interprets an algorithmic description of a desired behavior and creates hardware that implements that behavior. The...

    (syn. behavioural synthesis, algorithmic synthesis) For digital chips
  • Logic synthesis
    Logic synthesis
    In electronics, logic synthesis is a process by which an abstract form of desired circuit behavior, typically register transfer level , is turned into a design implementation in terms of logic gates. Common examples of this process include synthesis of HDLs, including VHDL and Verilog...

     translation of abstract, logical language such as Verilog or VHDL into a discrete netlist of logic-gates
  • Schematic Capture
    Schematic capture
    Schematic capture or schematic entry is a step in the design cycle of electronic design automation at which the electronic diagram, or electronic schematic of the designed electronic circuit is created by a designer...

     For standard cell digital, analog, rf like Capture CIS in Orcad by CADENCE and ISIS in Proteus
  • Layout
    Placement (EDA)
    Placement is an essential step in electronic design automation - the portion of the physical design flow that assigns exact locations for various circuitcomponents within the chip’s core area...

     like Layout in Orcad by Cadence, ARES in Proteus

Simulation

  • Transistor simulation
    SPICE
    SPICE is a general-purpose, open source analog electronic circuit simulator.It is a powerful program that is used in integrated circuit and board-level design to check the integrity of circuit designs and to predict circuit behavior.- Introduction :Unlike board-level designs composed of discrete...

     – low-level transistor-simulation of a schematic/layout's behavior, accurate at device-level.
  • Logic simulation
    Logic simulation
    Logic simulation is the use of a computer program to simulate the operation of a digital circuit. Logic simulation is the primary tool used for verifying the logical correctness of a hardware design. In many cases logic simulation is the first activity performed in the process of taking a hardware...

     – digital-simulation of an RTL or gate-netlist's digital (boolean 0/1) behavior, accurate at boolean-level.
  • Behavioral Simulation – high-level simulation of a design's architectural operation, accurate at cycle-level or interface-level.
  • Hardware emulation
    Hardware emulation
    In integrated circuit design, hardware emulation is the process of imitating the behavior of one or more pieces of hardware with another piece of hardware, typically a special purpose emulation system. The emulation model is usually based on RTL source code, which is compiled into the format...

     – Use of special purpose hardware to emulate the logic of a proposed design. Can sometimes be plugged into a system in place of a yet-to-be-built chip; this is called in-circuit emulation.
  • Technology CAD
    Technology CAD
    Technology CAD is a branch of electronic design automation that models semiconductor fabrication and semiconductor device operation. The modeling of the fabrication is termed Process TCAD, while the modeling of the device operation is termed Device TCAD...

     simulate and analyze the underlying process technology. Electrical properties of devices are derived directly from device physics.
  • Electromagnetic field solver
    Electromagnetic field solver
    Electromagnetic field solvers are specialized programs that solve Maxwell's equations directly. They form a part of the field of electronic design automation, or EDA, and are commonly used in the design of integrated circuits and printed circuit boards...

    s, or just field solvers
    Electromagnetic field solver
    Electromagnetic field solvers are specialized programs that solve Maxwell's equations directly. They form a part of the field of electronic design automation, or EDA, and are commonly used in the design of integrated circuits and printed circuit boards...

    , solve Maxwell's equations directly for cases of interest in IC and PCB design. They are known for being slower but more accurate than the layout extraction
    Layout extraction
    The electric circuit extraction or simply circuit extraction, also netlist extraction, is the translation of an integrated circuit layout back into the electrical circuit it is intendedto represent...

     above.


Analysis and verification

  • Functional verification
    Functional verification
    Functional verification, in electronic design automation, is the task of verifying that the logic design conforms to specification. In everyday terms, functional verification attempts to answer the question "Does this proposed design do what is intended?" This is a complex task, and takes the...

  • Clock Domain Crossing Verification (CDC check): Similar to linting
    Lint programming tool
    In computer programming, lint was the name originally given to a particular program that flagged some suspicious and non-portable constructs in C language source code. The term is now applied generically to tools that flag suspicious usage in software written in any computer language...

    , but these checks/tools specialize in detecting and reporting potential issues like data loss, meta-stability
    Metastability in electronics
    Metastability in electronics is the ability of a digital electronic system to persist for an unbounded time in an unstable equilibrium or metastable state....

     due to use of multiple clock domains in the design.
  • Formal verification
    Formal verification
    In the context of hardware and software systems, formal verification is the act of proving or disproving the correctness of intended algorithms underlying a system with respect to a certain formal specification or property, using formal methods of mathematics .- Usage :Formal verification can be...

    , also model checking
    Model checking
    In computer science, model checking refers to the following problem:Given a model of a system, test automatically whether this model meets a given specification....

    : Attempts to prove, by mathematical methods, that the system has certain desired properties, and that certain undesired effects (such as deadlock
    Deadlock
    A deadlock is a situation where in two or more competing actions are each waiting for the other to finish, and thus neither ever does. It is often seen in a paradox like the "chicken or the egg"...

    ) cannot occur.
  • Equivalence checking
    Formal equivalence checking
    Formal equivalence checking process is a part of electronic design automation , commonly used during the development of digital integrated circuits, to formally prove that two representations of a circuit design exhibit exactly the same behavior....

    : algorithmic comparison between a chip's RTL-description and synthesized gate-netlist, to ensure functional equivalence at the logical level.
  • Static timing analysis
    Static timing analysis
    Static Timing Analysis is a method of computing the expected timing of a digital circuit without requiring simulation.High-performance integrated circuits have traditionally been characterized by the clock frequency at which they operate...

    : Analysis of the timing of a circuit in an input-independent manner, hence finding a worst case over all possible inputs.
  • Physical verification
    Physical verification
    Physical verification is a process whereby an IC layout design is checked via EDA software tools to see if it meets certain criteria. Verification involves DRC , LVS , ERC , XOR , and Antenna Checks.- XOR Check:This check is typically run after a metal spin, where the original and modified database...

    , PV: checking if a design is physically manufacturable, and that the resulting chips will not have any function-preventing physical defects, and will meet original specifications.

Manufacturing preparation

  • Mask data preparation
    Mask data preparation
    Mask data preparation is the step that translates an intended set of polygons on an integrated circuit layout into a form that can be physically written by the photomask writer. Usually this involves fracturing complex polygons into simpler shapes, often rectangles and trapezoids, that can be...

    , MDP: generation of actual lithography
    Lithography
    Lithography is a method for printing using a stone or a metal plate with a completely smooth surface...

     photomask
    Photomask
    A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography.-Overview:...

     used to physically manufacture the chip.
    • Resolution enhancement techniques, RET – methods of increasing of quality of final photomask
      Photomask
      A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography.-Overview:...

      .
    • Optical proximity correction
      Optical proximity correction
      Optical proximity correction is a photolithography enhancement technique commonly used to compensate for image errors due to diffraction or process effects...

      , OPC – up-front compensation for diffraction
      Diffraction
      Diffraction refers to various phenomena which occur when a wave encounters an obstacle. Italian scientist Francesco Maria Grimaldi coined the word "diffraction" and was the first to record accurate observations of the phenomenon in 1665...

       and interference effects occurring later when chip is manufactured using this mask.
    • Mask generation – generation of flat mask image from hierarchical design.
    • Automatic test pattern generation
      Automatic test pattern generation
      ATPG is an electronic design automation method/technology used to find an input sequence that, when applied to a digital circuit, enables automatic test equipment to distinguish between the correct circuit behavior and the faulty circuit...

      , ATPG – generates pattern-data to systematically exercise as many logic-gates, and other components, as possible.
    • Built-in self-test
      Built-in self-test
      A built-in self-test or built-in test is a mechanism that permits a machine to test itself. Engineers design BISTs to meet requirements such as:*high reliability*lower repair cycle timesor constraints such as:...

      , or BIST – installs self-contained test-controllers to automatically test a logic (or memory) structure in the design


Top companies

  • $4.0 billion - Synopsys
    Synopsys
    Synopsys, Inc. is one of the largest companies in the Electronic Design Automation industry. Synopsys' first and best-known product is Design Compiler, a logic-synthesis tool. Synopsys offers a wide range of other products used in the design of an application-specific integrated circuit...

  • $2.94 billion - Cadence
    Cadence Design Systems
    Cadence Design Systems, Inc is an electronic design automation software and engineering services company, founded in 1988 by the merger of SDA Systems and ECAD, Inc...

  • $1.42 billion - Mentor Graphics
    Mentor Graphics
    Mentor Graphics, Inc is a US-based multinational corporation dealing in electronic design automation for electrical engineering and electronics, as of 2004, ranked third in the EDA industry it helped create...

  • $489 million - Magma Design Automation
    Magma Design Automation
    Magma Design Automation is a software company in the electronic design automation industry. The company was founded in 1997 and maintains headquarters in San Jose, California, with facilities throughout North America, Europe, Japan, Asia and India....

  • NT$6.44 billion - SpringSoft
    SpringSoft
    SpringSoft is a software company that develops cutting edge VLSI design and debugging software. The company was founded with a grant from the Taiwanese National Science Council in February 1996. In 1997, SpringSoft established the company Novas Software in Silicon Valley to market, distribute,...

  • ¥11.95 billion - Zuken Inc.
    Zuken
    is a Japanese multinational corporation, specializing in software and consulting services for end-to-end electrical and electronic engineering. Zuken came into existence as a pioneer in the development of CAD systems in Japan to contribute to electronics manufacturing...



Note: Market caps current as of Dec, 2011. EEsof
EEsof
EEsof is an EDA company founded in 1983 by an entrepreneur, Charles J. Abronson, and a former Compact Software employee, Bill Childs.-History:...

 should likely be on this list, but does not have a market cap as it is the EDA division of Agilent.

Acquisitions

Many of the EDA companies acquire small companies with software or other technology that can be adapted to their core business. Most of the market leaders are rather incestuous amalgamations of many smaller companies. This trend is helped by the tendency of software companies to design tools as accessories that fit naturally into a larger vendor's suite of programs on digital circuitry, many new tools incorporate analog design, and mixed systems. This is happening because there is now a trend to place entire electronic systems on a single chip
System-on-a-chip
A system on a chip or system on chip is an integrated circuit that integrates all components of a computer or other electronic system into a single chip. It may contain digital, analog, mixed-signal, and often radio-frequency functions—all on a single chip substrate...

.

See also

  • CAD
  • Circuit design
    Circuit design
    The process of circuit design can cover systems ranging from complex electronic systems all the way down to the individual transistors within an integrated circuit...

  • EDA database
    EDA database
    An EDA database is a database specialized for the purpose of electronic design automation. These application specific databases are required because general purpose databases have historically not provided enough performance for EDA applications....

  • Signoff (electronic design automation)
    Signoff (electronic design automation)
    In the automated design of integrated circuits, signoff checks is the collective name given to a series of verification steps that must pass before the design can be taped out...

  • Comparison of EDA software
    Comparison of EDA software
    Comparison of Electronic Design Automation software-Free and Open Source Software :-Proprietary software:-Comparison of EDA packages:Note: ODB++ support is drawn partly from lists by Artwork Conversion Software and Mentor Graphics...

The source of this article is wikipedia, the free encyclopedia.  The text of this article is licensed under the GFDL.
 
x
OK