Very-large-scale integration
Encyclopedia
Very-large-scale integration (VLSI) is the process of creating integrated circuit
Integrated circuit
An integrated circuit or monolithic integrated circuit is an electronic circuit manufactured by the patterned diffusion of trace elements into the surface of a thin substrate of semiconductor material...

s by combining thousands of transistors into a single chip. VLSI began in the 1970s when complex semiconductor
Semiconductor
A semiconductor is a material with electrical conductivity due to electron flow intermediate in magnitude between that of a conductor and an insulator. This means a conductivity roughly in the range of 103 to 10−8 siemens per centimeter...

 and communication
Communication
Communication is the activity of conveying meaningful information. Communication requires a sender, a message, and an intended recipient, although the receiver need not be present or aware of the sender's intent to communicate at the time of communication; thus communication can occur across vast...

 technologies were being developed. The microprocessor
Microprocessor
A microprocessor incorporates the functions of a computer's central processing unit on a single integrated circuit, or at most a few integrated circuits. It is a multipurpose, programmable device that accepts digital data as input, processes it according to instructions stored in its memory, and...

 is a VLSI device.
The first semiconductor chips held two transistors each. Subsequent advances added more and more transistors, and, as a consequence, more individual functions or systems were integrated over time. The first integrated circuits held only a few devices, perhaps as many as ten diode
Diode
In electronics, a diode is a type of two-terminal electronic component with a nonlinear current–voltage characteristic. A semiconductor diode, the most common type today, is a crystalline piece of semiconductor material connected to two electrical terminals...

s, transistor
Transistor
A transistor is a semiconductor device used to amplify and switch electronic signals and power. It is composed of a semiconductor material with at least three terminals for connection to an external circuit. A voltage or current applied to one pair of the transistor's terminals changes the current...

s, resistor
Resistor
A linear resistor is a linear, passive two-terminal electrical component that implements electrical resistance as a circuit element.The current through a resistor is in direct proportion to the voltage across the resistor's terminals. Thus, the ratio of the voltage applied across a resistor's...

s and capacitor
Capacitor
A capacitor is a passive two-terminal electrical component used to store energy in an electric field. The forms of practical capacitors vary widely, but all contain at least two electrical conductors separated by a dielectric ; for example, one common construction consists of metal foils separated...

s, making it possible to fabricate one or more logic gate
Logic gate
A logic gate is an idealized or physical device implementing a Boolean function, that is, it performs a logical operation on one or more logic inputs and produces a single logic output. Depending on the context, the term may refer to an ideal logic gate, one that has for instance zero rise time and...

s on a single device. Now known retrospectively as small-scale integration (SSI), improvements in technique led to devices with hundreds of logic gates, known as medium-scale integration (MSI). Further improvements led to large-scale integration (LSI), i.e. systems with at least a thousand logic gates. Current technology has moved far past this mark and today's microprocessor
Microprocessor
A microprocessor incorporates the functions of a computer's central processing unit on a single integrated circuit, or at most a few integrated circuits. It is a multipurpose, programmable device that accepts digital data as input, processes it according to instructions stored in its memory, and...

s have many millions of gates and billions of individual transistors.

At one time, there was an effort to name and calibrate various levels of large-scale integration above VLSI. Terms like ultra-large-scale integration (ULSI) were used. But the huge number of gates and transistors available on common devices has rendered such fine distinctions moot. Terms suggesting greater than VLSI levels of integration are no longer in widespread use.

As of early 2008, billion-transistor processors are commercially available. This is expected to become more commonplace as semiconductor fabrication moves from the current generation of 65 nm
65 nanometer
The 65 nm process is an advanced lithographic node used in volume CMOS semiconductor fabrication. Printed linewidths can reach as low as 25 nm on a nominally 65 nm process, while the pitch between two lines may be greater than 130 nm.. For comparison, cellular ribosomes are...

 processes to the next 45 nm
45 nanometer
Per the International Technology Roadmap for Semiconductors, the 45 nm technology node should refer to the average half-pitch of a memory cell manufactured at around the 2007–2008 time frame....

 generations (while experiencing new challenges such as increased variation across process corners
Process corners
In semiconductor manufacturing, a process corner is an example of a design-of-experiments technique that refers to a variation of fabrication parameters used in applying an integrated circuit design to a semiconductor wafer. Process corners represent the extremes of these parameter variations...

). A notable example is Nvidia
NVIDIA
Nvidia is an American global technology company based in Santa Clara, California. Nvidia is best known for its graphics processors . Nvidia and chief rival AMD Graphics Techonologies have dominated the high performance GPU market, pushing other manufacturers to smaller, niche roles...

's 280 series
GeForce 200 Series
The GeForce 200 Series is the 10th generation of Nvidia's GeForce graphics processing units. The series also represents the continuation of the company's unified shader architecture introduced with the GeForce 8 Series and the GeForce 9 Series. Its primary competition came from ATI's Radeon HD 4000...

 GPU. This GPU is unique in the fact that almost all of its 1.4 billion transistors are used for logic, in contrast to the Itanium
Itanium
Itanium is a family of 64-bit Intel microprocessors that implement the Intel Itanium architecture . Intel markets the processors for enterprise servers and high-performance computing systems...

, whose large transistor count is largely due to its 24 MB L3 cache. Current designs, as opposed to the earliest devices, use extensive design automation
Electronic design automation
Electronic design automation is a category of software tools for designing electronic systems such as printed circuit boards and integrated circuits...

 and automated logic synthesis
Logic synthesis
In electronics, logic synthesis is a process by which an abstract form of desired circuit behavior, typically register transfer level , is turned into a design implementation in terms of logic gates. Common examples of this process include synthesis of HDLs, including VHDL and Verilog...

 to lay out
Integrated circuit layout
Integrated circuit layout, also known IC layout, IC mask layout, or mask design, is the representation of an integrated circuit in terms of planar geometric shapes which correspond to the patterns of metal, oxide, or semiconductor layers that make up the components of the integrated circuit.When...

 the transistors, enabling higher levels of complexity in the resulting logic functionality. Certain high-performance logic blocks like the SRAM (Static Random Access Memory
Static random access memory
Static random-access memory is a type of semiconductor memory where the word static indicates that, unlike dynamic RAM , it does not need to be periodically refreshed, as SRAM uses bistable latching circuitry to store each bit...

) cell, however, are still designed by hand to ensure the highest efficiency (sometimes by bending or breaking established design rules
Design rule checking
Design Rule Checking or Check is the area of Electronic Design Automation that determines whether the physical layout of a particular chip layout satisfies a series of recommended parameters called Design Rules...

 to obtain the last bit of performance by trading stability).

Structured design

Structured VLSI design is a modular methodology originated by Carver Mead
Carver Mead
Carver Andress Mead is a US computer scientist. He currently holds the position of Gordon and Betty Moore Professor Emeritus of Engineering and Applied Science at the California Institute of Technology , having taught there for over 40 years.Mead studied electrical engineering at Caltech, getting...

 and Lynn Conway
Lynn Conway
Lynn Conway is an American computer scientist, electrical engineer, inventor, trans woman, and activist for the transgender community....

 for saving microchip area by minimizing the interconnect fabrics area. This is obtained by repetitive arrangement of rectangular macro blocks which can be interconnected using wiring by abutment
Abutment
An abutment is, generally, the point where two structures or objects meet. This word comes from the verb abut, which means adjoin or having common boundary. An abutment is an engineering term that describes a structure located at the ends of a bridge, where the bridge slab adjoins the approaching...

. An example is partitioning the layout of an adder into a row of equal bit slices cells. In complex designs this structuring may be achieved by hierarchical nesting.

Structured VLSI design had been popular in the early 1980s, but lost its popularity later because of the advent of placement and routing
Place and route
Place and route is a stage in the design of printed circuit boards, integrated circuits, and field-programmable gate arrays. As implied by the name, it is composed of two steps, placement and routing. The first step, placement, involves deciding where to place all electronic components, circuitry,...

 tools wasting a lot of area by routing
Routing (EDA)
In electronic design, wire routing, commonly called simply routing, is a step in the design of printed circuit boards and integrated circuits . It builds on a preceding step, called placement, which determines the location of each active element of an IC or component on a PCB...

, which is tolerated because of the progress of Moore's Law
Moore's Law
Moore's law describes a long-term trend in the history of computing hardware: the number of transistors that can be placed inexpensively on an integrated circuit doubles approximately every two years....

. When introducing the hardware description language
Hardware description language
In electronics, a hardware description language or HDL is any language from a class of computer languages, specification languages, or modeling languages for formal description and design of electronic circuits, and most-commonly, digital logic...

 KARL in the mid' 1970s, Reiner Hartenstein coined the term "structured VLSI design" (originally as "structured LSI design"), echoing Edsger Dijkstra
Edsger Dijkstra
Edsger Wybe Dijkstra ; ) was a Dutch computer scientist. He received the 1972 Turing Award for fundamental contributions to developing programming languages, and was the Schlumberger Centennial Chair of Computer Sciences at The University of Texas at Austin from 1984 until 2000.Shortly before his...

's structured programming
Structured programming
Structured programming is a programming paradigm aimed on improving the clarity, quality, and development time of a computer program by making extensive use of subroutines, block structures and for and while loops - in contrast to using simple tests and jumps such as the goto statement which could...

 approach by procedure nesting to avoid chaotic spaghetti-structured programs.

Challenges

As microprocessors become more complex due to technology scaling
Moore's Law
Moore's law describes a long-term trend in the history of computing hardware: the number of transistors that can be placed inexpensively on an integrated circuit doubles approximately every two years....

, microprocessor designers have encountered several challenges which force them to think beyond the design plane, and look ahead to post-silicon:
  • Power usage/Heat dissipation – As threshold voltage
    Threshold voltage
    The threshold voltage of a MOSFET is usually defined as the gate voltage where an inversion layer forms at the interface between the insulating layer and the substrate of the transistor. The purpose of the inversion layer's forming is to allow the flow of electrons through the gate-source junction...

    s have ceased to scale with advancing process technology, dynamic power dissipation
    CPU power dissipation
    Central processing unit power dissipation or CPU power dissipation is the process in which central processing units consume electrical energy, and dissipate this energy both by the action of the switching devices contained in the CPU and by the energy lost in the form of heat due to the impedance...

     has not scaled proportionally. Maintaining logic complexity when scaling the design down only means that the power dissipation per area will go up. This has given rise to techniques such as dynamic voltage and frequency scaling
    DVFS
    Voltage and frequency scaling may refer to:* Dynamic voltage scaling, a power management technique in computer architecture, where the voltage used in a component is increased or decreased, depending upon circumstances...

     (DVFS) to minimize overall power.
  • Process variation – As photolithography
    Photolithography
    Photolithography is a process used in microfabrication to selectively remove parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate...

     techniques tend closer to the fundamental laws of optics, achieving high accuracy in doping
    Dopant
    A dopant, also called a doping agent, is a trace impurity element that is inserted into a substance in order to alter the electrical properties or the optical properties of the substance. In the case of crystalline substances, the atoms of the dopant very commonly take the place of elements that...

     concentrations and etched wires is becoming more difficult and prone to errors due to variation. Designers now must simulate across multiple fabrication process corners
    Process corners
    In semiconductor manufacturing, a process corner is an example of a design-of-experiments technique that refers to a variation of fabrication parameters used in applying an integrated circuit design to a semiconductor wafer. Process corners represent the extremes of these parameter variations...

     before a chip is certified ready for production.
  • Stricter design rules – Due to lithography and etch issues with scaling, design rules
    Design rule checking
    Design Rule Checking or Check is the area of Electronic Design Automation that determines whether the physical layout of a particular chip layout satisfies a series of recommended parameters called Design Rules...

     for layout
    Integrated circuit layout
    Integrated circuit layout, also known IC layout, IC mask layout, or mask design, is the representation of an integrated circuit in terms of planar geometric shapes which correspond to the patterns of metal, oxide, or semiconductor layers that make up the components of the integrated circuit.When...

     have become increasingly stringent. Designers must keep ever more of these rules in mind while laying out custom circuits. The overhead for custom design is now reaching a tipping point, with many design houses opting to switch to electronic design automation
    Electronic design automation
    Electronic design automation is a category of software tools for designing electronic systems such as printed circuit boards and integrated circuits...

     (EDA) tools to automate their design process.
  • Timing/design closure
    Design closure
    Design closure is the process by which a VLSI design is modified from its initial description to meet a growing list of design constraints and objectives....

     – As clock frequencies tend to scale up, designers are finding it more difficult to distribute and maintain low clock skew
    Clock skew
    -In circuit design:In circuit designs, clock skew is a phenomenon in synchronous circuits in which the clock signal arrives at different components at different times...

     between these high frequency clocks across the entire chip. This has led to a rising interest in multicore
    Multicore
    Multicore may refer to:* Multi-core processor ** Multicore Association, founded in 2005, a non-profit, industry consortium focused on multicore technology* multicore cable, a generic term for an electrical cable that has multiple cores...

     and multiprocessor
    Multiprocessor
    Computer system having two or more processing units each sharing main memory and peripherals, in order to simultaneously process programs.Sometimes the term Multiprocessor is confused with the term Multiprocessing....

     architectures, since an overall speedup
    Amdahl's law
    Amdahl's law, also known as Amdahl's argument, is named after computer architect Gene Amdahl, and is used to find the maximum expected improvement to an overall system when only part of the system is improved...

     can be obtained by lowering the clock frequency and distributing processing.
  • First-pass success – As die
    Die (integrated circuit)
    A die in the context of integrated circuits is a small block of semiconducting material, on which a given functional circuit is fabricated.Typically, integrated circuits are produced in large batches on a single wafer of electronic-grade silicon or other semiconductor through processes such as...

     sizes shrink (due to scaling), and wafer
    Wafer (electronics)
    A wafer is a thin slice of semiconductor material, such as a silicon crystal, used in the fabrication of integrated circuits and other microdevices...

     sizes go up (to lower manufacturing costs), the number of dies per wafer increases, and the complexity of making suitable photomask
    Photomask
    A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography.-Overview:...

    s goes up rapidly. A mask set for a modern technology can cost several million dollars. This non-recurring expense deters the old iterative philosophy involving several "spin-cycles" to find errors in silicon, and encourages first-pass silicon success. Several design philosophies have been developed to aid this new design flow, including design for manufacturing (DFM
    Design for manufacturability (IC)
    Achieving high-yielding designs in the state of the art, VLSI technology has become an extremely challenging task due to the miniaturization as well as the complexity of leading-edge products...

    ), design for test (DFT
    Design For Test
    Design for Test is a name for design techniques that add certain testability features to a microelectronic hardware product design. The premise of the added features is that they make it easier to develop and apply manufacturing tests for the designed hardware...

    ), and Design for X
    Design for X
    Under the label Design for X, a wide collection of specific design guidelines are summarized. Each design guideline addresses a particular issue that is caused by, or affects the characteristics of a product...

    .

Conferences

  • ISSCC – IEEE International Solid-State Circuits Conference
    International Solid-State Circuits Conference
    International Solid-State Circuits Conference is a global forum for presentation of advances in solid-state circuits and Systems-on-a-Chip. The Conference offers a unique opportunity for engineers working at the cutting edge of IC design to maintain technical currency, and to network with leading...

  • CICC – IEEE Custom Integrated Circuits Conference
  • ISCAS – IEEE International Symposium on Circuits and Systems
    International Symposium on Circuits and Systems
    Initiated in 1968, by a small group of distinguished circuit theorists, IEEE ISCAS has grown into a premier annual conference reflecting the diversity, richness, and significance of the circuits and systems fields, as well as the growing technological applications and knowledge economy that is...

  • VLSI – IEEE International Conference on VLSI Design
    International Conference on VLSI Design
    The International Conference on VLSI Design was started in 1985, as a small workshop at IIT Madras, under the visionary guidance of Dr. Vishwani Agrawal of Auburn University, and Prof. H.N. Mahabala of IIT Madras. From this modest beginning, it has grown into a international conference on VLSI...

  • DAC – Design Automation Conference
    Design Automation Conference
    The Design Automation Conference, or DAC, is a combination of a technical conference and a trade show, both specializing in electronic design automation....

  • ICCAD – International Conference on Computer-Aided Design
    International Conference on Computer-Aided Design
    The International Conference on Computer-Aided Design, or ICCAD, is a yearly conference about electronic design automation. It is normally held in early November in San Jose, California...

  • ISPD – International Symposium on Physical Design
    International Symposium on Physical Design
    The International Symposium on Physical Design, or ISPD is a yearly conference on the topic of electronic design automation, concentrating on algorithms for the physical design of integrated circuits. It is typically held in April of each year, in a city in the western United States...

  • ISQED – International Symposium on Quality Electronic Design
  • DATE – Design Automation and Test in Europe
    Design Automation and Test in Europe
    Design Automation and Test in Europe, or DATE is a yearly conference on the topic of electronic design automation. It is typically held in March or April of each year, alternating between France and Germany...

  • IEDM – IEEE International Electron Devices Meeting
    International Electron Devices Meeting
    The IEEE International Electron Devices Meeting is an annual electronics conference held alternately in San Francisco, California and Washington D.C. each December...

  • ASP-DAC – Asia and South Pacific Design Automation Conference
    Asia and South Pacific Design Automation Conference
    The Asia and South Pacific Design Automation Conference, or ASP-DAC is a yearly conference on the topic of electronic design automation. It is typically held in late January in the Far East, as the name implies...


See also

  • Application-specific integrated circuit
    Application-specific integrated circuit
    An application-specific integrated circuit is an integrated circuit customized for a particular use, rather than intended for general-purpose use. For example, a chip designed solely to run a cell phone is an ASIC...

  • Caltech Cosmic Cube
    Caltech Cosmic Cube
    The Caltech Cosmic Cube was a parallel computer, developed by Charles Seitz and Geoffrey Fox from 1981 onward.It was an early attempt to capitalise on VLSI to speed up scientific calculations at a reasonable cost...

  • Design rules checking
  • Electronic design automation
    Electronic design automation
    Electronic design automation is a category of software tools for designing electronic systems such as printed circuit boards and integrated circuits...

  • Polysilicon

External links

The source of this article is wikipedia, the free encyclopedia.  The text of this article is licensed under the GFDL.
 
x
OK