Integrated circuit layout
Encyclopedia
Integrated circuit layout, also known IC layout, IC mask layout, or mask design, is the representation of an integrated circuit
Integrated circuit
An integrated circuit or monolithic integrated circuit is an electronic circuit manufactured by the patterned diffusion of trace elements into the surface of a thin substrate of semiconductor material...

 in terms of planar geometric shapes which correspond to the patterns of metal
Metal
A metal , is an element, compound, or alloy that is a good conductor of both electricity and heat. Metals are usually malleable and shiny, that is they reflect most of incident light...

, oxide
Silicon oxide
Silicon oxide may refer to either of the following:*Silicon dioxide, SiO2, very well characterized*Silicon monoxide, SiO, not very well characterized...

, or semiconductor
Semiconductor
A semiconductor is a material with electrical conductivity due to electron flow intermediate in magnitude between that of a conductor and an insulator. This means a conductivity roughly in the range of 103 to 10−8 siemens per centimeter...

 layers that make up the components of the integrated circuit.

When using a standard process - where the interaction of the many chemical, thermal, and photographic variables are known and carefully controlled - the behaviour of the final integrated circuit depends largely on the positions and interconnections of the geometric shapes. A layout engineer's job is to place and connect all the components that make up a chip so that they meet all criteria. Typical are performance, size, and manufacturability.

The generated layout must pass a series of checks in a process known as physical verification. The most common checks in this verification process are
  • design rule checking (DRC)
    Design rule checking
    Design Rule Checking or Check is the area of Electronic Design Automation that determines whether the physical layout of a particular chip layout satisfies a series of recommended parameters called Design Rules...

    ,
  • layout versus schematic (LVS)
    Layout versus schematic
    The Layout Versus Schematic is the class of electronic design automation verification software that determines whether a particular integrated circuit layout corresponds to the original schematic or circuit diagram of the design.-Background:...

    ,
  • parasitic extraction,
  • antenna rule checking, and
  • electrical rule checking (ERC).


When all verification is complete, the data is translated into an industry standard format, typically GDSII
GDSII
GDSII stream format, common acronym GDSII, is a database file format which is the de facto industry standard for data exchange of integrated circuit or IC layout artwork. It is a binary file format representing planar geometric shapes, text labels, and other information about the layout in...

, and sent to a semiconductor foundry. The process of sending this data to the foundry is called tapeout due to the fact the data used to be shipped out on a magnetic tape. The foundry converts the data into another format and uses it to generate the photomask
Photomask
A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography.-Overview:...

s used in a photolithographic
Photolithography
Photolithography is a process used in microfabrication to selectively remove parts of a thin film or the bulk of a substrate. It uses light to transfer a geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist," on the substrate...

 process of semiconductor device fabrication.

In the earlier, simpler, days of IC design, layout was done by hand using opaque tapes and films, much like the early days of PCB
Printed circuit board
A printed circuit board, or PCB, is used to mechanically support and electrically connect electronic components using conductive pathways, tracks or signal traces etched from copper sheets laminated onto a non-conductive substrate. It is also referred to as printed wiring board or etched wiring...

 design. Modern IC layout is done with the aid of IC layout editor
IC layout editor
An Integrated circuit layout editor or IC layout editor is an electronic design automation software tool that allows a user to digitize the shapes and patterns that form an integrated circuit. Typically the view will include the components , metal routing tracks, vias and electrical pins...

 software, mostly automatically using EDA tool
Electronic design automation
Electronic design automation is a category of software tools for designing electronic systems such as printed circuit boards and integrated circuits...

s, including place and route
Place and route
Place and route is a stage in the design of printed circuit boards, integrated circuits, and field-programmable gate arrays. As implied by the name, it is composed of two steps, placement and routing. The first step, placement, involves deciding where to place all electronic components, circuitry,...

 tools or schematic driven layout
Schematic driven layout
Schematic driven layout is the concept in IC Layout or PCB layout where the EDA software links the schematic and layout databases. It was one of the first big steps forward in layout software from the days when editing tools were simply handling drawn polygons.- Features :Schematic driven layout...

 tools. The manual operation of choosing and positioning the geometric shapes is informally known as "polygon
Polygon
In geometry a polygon is a flat shape consisting of straight lines that are joined to form a closed chain orcircuit.A polygon is traditionally a plane figure that is bounded by a closed path, composed of a finite sequence of straight line segments...

 pushing".

See also

  • Physical design (electronics)
    Physical design (electronics)
    In integrated circuit design, physical design is a step in the standard design cycle which follows after the circuit design. At this step, circuit representations of the components of the design are converted into geometric representations of shapes which, when manufactured in the corresponding...

  • Printed circuit board
    Printed circuit board
    A printed circuit board, or PCB, is used to mechanically support and electrically connect electronic components using conductive pathways, tracks or signal traces etched from copper sheets laminated onto a non-conductive substrate. It is also referred to as printed wiring board or etched wiring...

  • Integrated circuit design
    Integrated circuit design
    Integrated circuit design, or IC design, is a subset of electrical engineering and computer engineering, encompassing the particular logic and circuit design techniques required to design integrated circuits, or ICs...


Further reading

  • Saint, Christopher and Judy. (2002). IC Layout Basics. McGraw-Hill. ISBN 0-07-138625-4
  • Clein, Dan. (2000). CMOS IC Layout. Newnes. ISBN 0-7506-7194-7
  • Hastings, Alan. (2005). The Art of Analog Layout. Prentice Hall. ISBN 0-131-46410-8
The source of this article is wikipedia, the free encyclopedia.  The text of this article is licensed under the GFDL.
 
x
OK